Schaltwerke Klaus Becker 2003.

Slides:



Advertisements
Ähnliche Präsentationen
Anzahl der ausgefüllten und eingesandten Fragebögen: 211
Advertisements

Vorlesung: 1 Betriebliche Informationssysteme 2003 Prof. Dr. G. Hellberg Studiengang Informatik FHDW Vorlesung: Betriebliche Informationssysteme Teil3.
LS 2 / Informatik Datenstrukturen, Algorithmen und Programmierung 2 (DAP2)
Telefonnummer.
Modelle und Methoden der Linearen und Nichtlinearen Optimierung (Ausgewählte Methoden und Fallstudien) U N I V E R S I T Ä T H A M B U R G November 2011.
Modelle und Methoden der Linearen und Nichtlinearen Optimierung (Ausgewählte Methoden und Fallstudien) U N I V E R S I T Ä T H A M B U R G November 2011.
Datentechnik13. Übung THS, 22.November 2006 Testen hochintegrierter Schaltungen Übung 3: SCOAP-Algorithmus (sequentiell) Ralph Weper.
1 JIM-Studie 2010 Jugend, Information, (Multi-)Media Landesanstalt für Kommunikation Baden-Württemberg (LFK) Landeszentrale für Medien und Kommunikation.
= = = = 47 = 47 = 48 = =
Rechneraufbau & Rechnerstrukturen, Folie 2.1 © W. Oberschelp, G. Vossen W. Oberschelp G. Vossen Kapitel 2.
© 2006 W. Oberschelp, G. Vossen Rechneraufbau & Rechnerstrukturen, Folie 2.1.
Grundkurs Theoretische Informatik, Folie 2.1 © 2006 G. Vossen,K.-U. Witt Grundkurs Theoretische Informatik Kapitel 2 Gottfried Vossen Kurt-Ulrich Witt.
Internet facts 2008-II Graphiken zu dem Berichtsband AGOF e.V. September 2008.
Vorlesung: 1 Betriebliche Informationssysteme 2003 Prof. Dr. G. Hellberg Studiengang Informatik FHDW Vorlesung: Betriebliche Informationssysteme Teil2.
Bewegte Bezugssysteme
Technische Informatik I
AC Analyse.
Differentielles Paar UIN rds gm UIN
Maxwell-Boltzmann Ausgewählte Themen des analogen Schaltungsentwurfs
Prof. Dr. Bernhard Wasmayr
Studienverlauf im Ausländerstudium
Datenstrukturen, Algorithmen und Programmierung 2 (DAP2)
Prof. Dr. Bernhard Wasmayr VWL 2. Semester
AWA 2007 Natur und Umwelt Natürlich Leben
Rechneraufbau & Rechnerstrukturen, Folie 12.1 © W. Oberschelp, G. Vossen W. Oberschelp G. Vossen Kapitel 12.
Prof. Dr. Günter Gerhardinger Soziale Arbeit mit Einzelnen und Familien Übersicht über die Lehrveranstaltung Grundlegende Bestimmungsfaktoren der Praxis.
20:00.
„Küsse deine Freunde“ – FlexKom-App teilen
Zusatzfolien zu B-Bäumen
In der Schule.
Ein Automatensimulator
Eine Einführung in die CD-ROM
GBI Genios Wiso wiso bietet Ihnen das umfassendste Angebot deutsch- und englischsprachiger Literatur für die Wirtschafts- und Sozialwissenschaften. Wir.
Dokumentation der Umfrage
für Weihnachten oder als Tischdekoration für das ganze Jahr
Where Europe does business Lück, JDZB | Seite © GfW NRW 252 a.
Wir üben die Malsätzchen
Syntaxanalyse Bottom-Up und LR(0)
Universelle Registermaschine
Digitaltechnik Klaus Becker 2007.
NEU! 1 2. Wo kommt diese Art von Rezeptor im Körper vor?
3.3 Speicher Latches (1/4) SR-Latch S Q Q R Q Q
Addieren und Subtrahieren von Dezimalzahlen
Der Ablauf eines Clear Rex Klärzyklus
PROCAM Score Alter (Jahre)
Eine Präsentation von Lena Sauter & Corinna Nawatzky
Ertragsteuern, 5. Auflage Christiana Djanani, Gernot Brähler, Christian Lösel, Andreas Krenzin © UVK Verlagsgesellschaft mbH, Konstanz und München 2012.
Geometrische Aufgaben
Symmetrische Blockchiffren DES – der Data Encryption Standard
Retuschen.ppt Die folgende Schau zeigt die Möglichkeiten, mit PhotoDraw Digitalbilder zu retuschieren. Vergleichen Sie jeweils zwei Bildpaare durch fleissiges.
Zahlentheorie und Zahlenspiele Hartmut Menzer, Ingo Althöfer ISBN: © 2014 Oldenbourg Wissenschaftsverlag GmbH Abbildungsübersicht / List.
MINDREADER Ein magisch - interaktives Erlebnis mit ENZO PAOLO
1 (C)2006, Hermann Knoll, HTW Chur, FHO Quadratische Reste Definitionen: Quadratischer Rest Quadratwurzel Anwendungen.
Schutzvermerk nach DIN 34 beachten 20/05/14 Seite 1 Grundlagen XSoft Lösung :Logische Grundschaltung IEC-Grundlagen und logische Verknüpfungen.
Technische Informatik II
Technische Informatik II
Technische Informatik II (INF 1211) Aufgabenteil (Mit Unterlagen)
Folie Beispiel für eine Einzelauswertung der Gemeindedaten (fiktive Daten)
1 Arbeitsgemeinschaft Biologische Psychiatrie Verordnungsgewohnheiten von Psychopharmaka Statuserhebung 2005 W.Günther G.Laux T.Messer N.Müller M.Schmauss.
Technische Frage Technische Frage Bitte löse die folgende Gleichung:
Unternehmensbewertung Thomas Hering ISBN: © 2014 Oldenbourg Wissenschaftsverlag GmbH Abbildungsübersicht / List of Figures Tabellenübersicht.
Forschungsprojekt Statistik 2013 „Jugend zählt“ – Folie 1 Statistik 2013 „Jugend zählt“: Daten zur Arbeit mit Kindern und Jugendlichen.
Folie Einzelauswertung der Gemeindedaten
Rechnerstrukturen 3b. Endliche Automaten.
J-Team: Gymnasium Ulricianum Aurich und MTV Aurich Ein Projekt im Rahmen von UlricianumBewegt.de Euro haben wir schon…  8000 mal habt ihr bereits.
Datum:17. Dezember 2014 Thema:IFRS Update zum Jahresende – die Neuerungen im Überblick Referent:Eberhard Grötzner, EMA ® Anlass:12. Arbeitskreis Internationale.
Sehen, Hören, Schmecken: wenn uns unsere Sinne täuschen
1 Medienpädagogischer Forschungsverbund Südwest KIM-Studie 2014 Landesanstalt für Kommunikation Baden-Württemberg (LFK) Landeszentrale für Medien und Kommunikation.
Monatsbericht Ausgleichsenergiemarkt Gas – Oktober
X. Übungsblatt – Aufgabe X In dieser Aufgabe sollen die Eigenschaften ausgesuchter Flipflopschaltungen untersucht werden. Die Verzögerungszeit eines jeden.
 Präsentation transkript:

Schaltwerke Klaus Becker 2003

Zustandsbasierte Schaltsysteme

Teil 1 Flip-Flops

An der Tankstelle Ziel: Steuerung der Kontrolllampe einer Zapfsäule nach D. Jonietz: Lehrprobenentwurf

An der Tankstelle Die Kontrolllampe zeigt an, ob die Zapfsäule bereit ist. Nur wenn sie bereit ist, führt ein Tankversuch zur Aktivierung der Pumpanlage. Durch Einhängen der Zapfpistole wird die Pumpanlage gesperrt. Sie ist erst wieder bereit, wenn sie freigegeben wird.

Zapfsäule als zustandsbasiertes System freigeben einhängen auslösende Aktion einhängen Anfangszustand bereit gesperrt Zustand freigeben Grundeigenschaft zustandsbasierter Systeme: Das Verhalten des Systems hängt nicht nur von den auslösenden Aktionen (freigeben / einhängen) ab, das Verhalten hängt auch von internen Zuständen des Systems (bereit / gesperrt) ab.

Binäre Kodierung nichts machen nichts machen freigeben freigeben einhängen einhängen Neuer Zustand Aktueller Zustand bereit gesperrt nichts machen nichts machen freigeben freigeben einhängen einhängen einhängen und freigeben einhängen und freigeben S 0 0 1 1 R 0 0 1 1 Q 0 1 Q‘ 0 1 0 0 1 1 freigeben Zustände: Q = 0: „bereit“ Q = 1: „gesperrt“ Steuersignale: S: einhängen R: freigeben

Entwicklung einer Schaltung nichts machen nichts machen freigeben freigeben einhängen einhängen einhängen und freigeben einhängen und freigeben S 0 0 1 1 R Q 0 1 Q‘ 0 1 1 1 Q 1 Q‘ S

Entwicklung einer Schaltung nichts machen nichts machen freigeben freigeben einhängen einhängen einhängen und freigeben einhängen und freigeben S 0 0 1 1 R 0 0 1 1 Q 0 1 Q‘ 0 1 0 0 1 1 Q 1 S & Q‘ R

Entwicklung einer Schaltung Q 1 S & Q‘ R Q 1 S 1 Q‘ R S 1 Q 1 Q‘ R

Überprüfung der Schaltung 1 P Bedingung: P = Q 1 Q R S = 1; R = 0; P = ..; Q = ..  P‘ = 0; Q‘ = 1 S = 0; R = 1; P = ..; Q = ..  P‘ = 1; Q‘ = 0 S = 0; R = 0; P = 1; Q = 0  P‘ = 1; Q‘ = 0 S = 0; R = 0; P = 0; Q = 1  P‘ = 0; Q‘ = 1 S = 1; R = 1; P = 0; Q = 1  P‘ = 0; Q‘ = 0 unzulässig S = 1; R = 1; P = 1; Q = 0  P‘ = 0; Q‘ = 0

Flip-Flop S S 0 0 1 1 R 0 0 1 1 Q 0 1 Q‘ 0 1 0 0 1 1 Funktion 1 P = Q Zustand bewahren Zustand zurücksetzen 1 Q R Zustand setzen Flip-Flop-System Systemverhalten Eine Schaltung, die zwei stabile Zustände besitzt (bistabile Kippschaltung) wird als Flip-Flop bezeichnet.

Flip-Flop als Speicherelement 1 R 1 Q‘ Q 1 Funktion Bewahren Rücksetzen Setzen S 1 P = Q 1 Q R Flip-Flop-System Speicherelement S Q R Schaltsymbol Das entwickelte Flip-Flop-System verhält sich wie ein Speicherelement. Mit Hilfe der Funktionen „Setzen“ und „Rücksetzen“ wird ein Wert in den Speicher geschrieben, mit der Funktion „Bewahren“ wird er im Speicher aufbewahrt.

Zustandsgraph S 1 R 1 Q‘ Q 1 Funktion Bewahren Rücksetzen Setzen Q = 0 1 R 1 Q‘ Q 1 Funktion Bewahren Rücksetzen Setzen Q = 0 Q = 1 S = 0; R = 1 S R S = R = 1 vermeiden S Q = 0 Q = 1 R

Übung S 1 R 1 Q‘ Q 1 Funktion Bewahren Rücksetzen Setzen S 1 P = Q 1 1 R 1 Q‘ Q 1 Funktion Bewahren Rücksetzen Setzen S 1 P = Q 1 Q R Flip-Flop-System Speicherelement Aufgabe: Bauen Sie das Flip-Flop-System auf und testen Sie sein Verhalten.

Übung S S 0 0 1 1 R 0 0 1 1 Q 0 1 Q‘ Funktion & Q & P R Aufgabe: System Systemverhalten Aufgabe: Überlegen Sie zunächst, welche Werte Q´ annimmt. Bauen Sie anschließend die Schaltung auf und testen Sie sie.

Taktgesteuerte Flip-Flops Teil 6 Taktgesteuerte Flip-Flops

Asynchrone Schaltung S S 0 0 1 1 R 0 0 1 1 Q 0 1 Q‘ 0 1 0 0 1 1 - - Funktion 1 P = Q Speichern Rücksetzen 1 Q R Setzen Flip-Flop-System Systemverhalten Das entwickelte Flip-Flop-System ist eine asynchrone Schaltung. Ein Zustandswechsel erfolgt erst, wenn die Signale an den betreffenden Stellen in der Schaltung eintreffen.

Synchronisation von Flip-Flops In der Regel müssen bei Speichervorgängen mehrere Bits gleich-zeitig übernommen werden. Hierzu ist es notwendig, die Flip-Flops zu synchronisieren, d. h., eine am Eingang vorliegende Information darf erst auf ein bestimmtes Signal hin übernommen werden. Man verwendet hierzu i. a. ein periodisches Taktsignal. 1-Zustand 0-Zustand Steigende Flanke Fallende Flanke

Taktgesteuertes Flip-Flop Verhaltensspezifikation: C 1 S * 1 R * 1 Q‘ Q 1 C  S S = R = 1 vermeiden C  R C  S Q = 0 Q = 1 C  R

Taktgesteuertes Flip-Flop Verhalten: Realisierung: C 1 S * 1 R * 1 Q‘ Q 1 S & S Q C R & R

Übung Aufgabe: Bauen Sie das entwickelte Flip-Flop auf und testen Sie es. Ergänzen Sie anschließend das unten dargestellte Impulsdiagramm. S & S Q C R & R C S R Q Impulsdiagramm

Master-Slave-Flip-Flop & & QM QS S S R R & & R C 1

Übung Aufgabe: Testen Sie die Flip-Flop-Schaltung und beschreiben Sie das Verhalten eines Master-Slave-Flip-Flops mit Hilfe eines Zustandsgraphen. QM = 0 QS = 0 QM = 1 QS = 0 QM = 0 QS = 1 QM = 1 QS = 1

Flankengesteuertes D-Flip-Flop & D & & Q C & & P = Q & hades.models.flipflops.Dff

Übung C D Q Aufgabe: Erstellen Sie zunächst eine D-Flip-Flop-Testschaltung. Erzeugen Sie dann die im Impulsdiagramm dargestellten Eingangssignale und ergänzen Sie das Q-Ausgangssignal.

Lösung - Taktsteuerung C 1 S * 1 R * 1 Q‘ Q 1 C  S S = R = 1 vermeiden C  R C  S Q = 0 Q = 1 C  R C S R Q

Lösung – MS-Flip-Flop Ein Zustandswechsel erfolgt beim Master-Slave-Flip-Flop um eine halbe Taktperiode verzögert. C  S C  R C  S QM = 0 QS = 0 QM = 1 QS = 0 C  R C C C  S QM = 0 QS = 1 QM = 1 QS = 1 C  R C  S C  S

Lösung – D-Flip-Flop C D Q

Flankengesteuertes D-Flip-Flop C C Ein Zustandswechsel kann nur bei einer steigenden Taktflanke erfolgen. C  D Z0 Q = 0 Z1 Q = 1 C C  D C  D C Z3 Q = 0 Z2 Q = 1 C  D C C C D Q 3 3 1 2 3 3 1 2 1 2 3

Übung: Schieberegister Entwerfen und testen Sie ein 4-Bit-Schieberegister. 1 1 /1/ Das Register kann 4 Bit mit Hilfe von Flip-Flops speichern. /2/ Das Register hat einen Dateneingang, über den es neue Werte aufnehmen kann. /3/ Mit jeder steigenden Taktflanke werden die gespeicherten Werte um eine Einheit nach rechts verschoben. Das erste Flip-Flop übernimmt den Wert am Dateneingang, der Wert des letzten Flip-Flops geht (hier) verloren.

Lösung: Schieberegister 1 1

Übung: Schieberegister hades.models.register.ShiftRegister Testen Sie den vordefinierten Schieberegister-Baustein von Hades. Welche Bedeutung haben die verschiedenen Eingänge?

Schieberegister-Baustein Shift-Eingang Takt Eingang zum Laden Umschalten: Laden/Shift Reset

Übung: Addierwerk Entwickeln Sie ein serielles 4-Bit-Addierwerk. Benutzen Sie vordefinierte Schieberegisterbausteine, um die Dualzahlen zwischenzuspeichern. 1 1 1 1 VA 1

Lösung – Addierwerk

Teil 2 Schaltwerke

Universalregister Ziel ist es, ein steuerbares Register zu entwerfen, das folgende Funktionalitäten ausweist: /1/ Das Register kann 1 Bit speichern. /2/ Das Register hat einen Dateneingang D und einen Datenausgang Q. /3/ Mit Hilfe eines Steuereingang ENA (enable) kann das Register aktiviert bzw. deaktiviert werden. /4/ Mit Hilfe eines Steuereingangs NR (negated reset) kann das Register initialisiert werden: Das Register wird mit 0 belegt, wenn NR = 0 ist. /5/ Das Register reagiert nur bei steigender Taktflanke.

Systementwurf ENA NR Q D clk Zum Speichern wird ein flankengesteuertes D-Flip-Flop benutzt. Das System hat einen Dateneingang D und einen Datenausgang Q. Das System hat zusätzliche Steuereingänge ENA und NR.

Systemzustände ENA  NR  D * ENA ENA  NR  D Z0 Z1 * Das System kann zwei Zustände einnehmen: Z0: QFF = 0 bzw. Z1: QFF = 1 Man kommt vom Zustand Z0 in den Zustand Z1, wenn ENA = 1 und NR = 1 und D = 1, ansonsten bleibt man im Zustand Z0. Man bleibt im Zustand Z1, wenn ENA = 1 und NR = 1 und D = 1 oder wenn ENA = 0, ansonsten wird in Z0 gewechselt.

Schaltungsentwurf Z0 Z1 ENA  NR  D * ENA ENA  NR  D * wenn QFF = 1 und ENA = 0 ENA 1 oder Q NR wenn ENA = 1 und NR = 1 und D = 1 D clk

Übung wenn QFF = 1 und ENA = 0 ENA 1 oder Q NR wenn ENA = 1 und NR = 1 und D = 1 D clk Entwickeln Sie eine Schaltung zur Realisierung des entworfenen Systems.

Lösung wenn QFF = 1 und ENA = 0 ENA 1 oder Q NR wenn ENA = 1 und NR = 1 und D = 1 D clk

Exkurs: Register-Baustein hades.models.rtlib.register.RegRE CLK: Takt-Eingang (Register reagiert b. steigender Taktflanke) NR: Reset-Eingang (NR = 0: Reset) ENA: Enable-Eingang (ENA = 1: Register bereit) D: Daten-Eingang Q: Daten-Ausgang

Übung Testen Sie den Register-Baustein. hades.models.rtlib.io.IpinVector hades.models.rtlib.register.RegRE hades.models.rtlib.io.OpinVector Testen Sie den Register-Baustein.

Das Register als Schaltwerk Delta- Schaltnetz Speicherelemente Eingaben Ausgabe Speicherelement: Zwischenspeicherung des Systemzustands Delta-Schaltnetz: Berechnung des neuen Zustands

Schaltwerke Speicherelemente: Zwischenspeicherung des Systemzustands ... ... ... Delta- Schaltnetz Lambda- Schaltnetz ... ... ... Eingaben Ausgaben Speicherelemente: Zwischenspeicherung des Systemzustands Delta-Schaltnetz: Berechnung des neuen Zustands Lambda-Schaltnetz: Berechnung der Ausgaben

Das Register als Automat ENA  NR  D * ENA ENA ENA  NR  D NR Z0 Z1 Q Q = 0 Q = 1 D * Ein sequentiell arbeitendes, zustandsbasiertes System wird in der Informatik Automat genannt.

Bestandteile eines Automaten ENA  NR  D * ENA ENA  NR  D Z0 Z1 Q = 0 Q = 1 * Zustandsmenge: Z = {Z0, Z1} Anfangszustand: Za = Z0 Eingabemenge: E = {000, 001, ..., 111} Ausgabemenge: A = {0, 1} Überführungsfunktion: : (Z0, 000)  Z0, ..., (Z0, 111)  Z1 Ausgabefunktion: : (Z0, 000)  0, ..., (Z0, 111)  1

Automatendefinition Ein endlicher Automat ist ein Tupel A = (Z, Za, E, A, , ) bestehend aus - einer endlichen Menge Z von Zuständen, - einem Anfangszustand ZaZ, - einer endlichen Menge E von Eingabewerten, - einer endlichen Menge A von Ausgabewerten, - einer Überführungsfunktion : Z x E  Z und - einer Ausgabefunktion : Z x E  A. Zustandsmenge: Z = {Z0, Z1} Anfangszustand: Za = Z0 Eingabemenge: E = {000, 001, ..., 111} Ausgabemenge: A = {0, 1} Überführungsfunktion: : (Z0, 000)  Z0, (Z0, 111)  Z1, ... Ausgabefunktion: : (Z0, 000)  0, (Z0, 111)  0, ...

Mealy-Automat Ein Mealy-Automat ist ein endlicher Automat mit einer Ausgabefunktion : Z x E  A, die von den Eingaben abhängt. Zustandsmenge: Z = {Z0, Z1} Anfangszustand: Za = Z0 Eingabemenge: E = {000, 001, ..., 111} Ausgabemenge: A = {0, 1} Überführungsfunktion: : (Z0, 000)  Z0, (Z0, 111)  Z1, ... Ausgabefktn (Mealy): : (Z0, 000)  0, (Z0, 111)  0, ...

Moore-Automat Ein Moore-Automat ist ein endlicher Automat mit einer Ausgabefunktion : Z  A, die nicht von den Eingaben abhängt. Zustandsmenge: Z = {Z0, Z1} Anfangszustand: Za = Z0 Eingabemenge: E = {000, 001, ..., 111} Ausgabemenge: A = {0, 1} Überführungsfunktion: : (Z0, 000)  Z0, (Z0, 111)  Z1, ... Ausgabefktn (Moore): : Z0  0, Z1  1

Miniprojekt: Ampelsteuerung Teil 3 Miniprojekt: Ampelsteuerung

Miniprojekt „Ampelsteuerung“ Ziel ist es, eine steuerbare Ampel zu entwerfen, die folgende Bedingungen erfüllt /1/ Die Ampel kann mit Hilfe eines Steuersignals auf Tag- und Nachtbetrieb eingestellt werden. /2/ Im Tagbetrieb durchläuft die Ampel die üblichen Phasen. Alle Phasen sollen der Einfachheit halber gleich lang sein. Ein Phasenwechsel soll mit einem Taktsignal ausgelöst werden. /3/ Im Nachtbetrieb soll nur die gelbe Lampe blinken.

Ein-/Ausgabe-Modellierung ARot E AGelb AGruen Eingaben: E (E = 0: Nachtbetrieb; E = 1: Tagbetrieb) Ausgaben: ARot, AGelb, AGruen (ARot = 1: Rot-Lampe an; ARot = 0: Rot-Lampe aus; ...)

Zustandsbasierte Modellierung ZRot ARot = 1 AGelb = 0 AGruen = 0 E E ZRotGelb ARot = 1 AGelb = 1 AGruen = 0 ARot E ZAus ARot = 0 AGelb = 0 AGruen = 0 E E E AGelb ZGruen E AGruen ARot = 0 AGelb = 0 AGruen = 1 E  E E ZGelb E ARot = 0 AGelb = 1 AGruen = 0

Übersetzung in ein Schaltwerk Schritt 1: Binäre Kodierung Eingaben: E (E = 0: Nachtbetrieb; E = 1: Tagbetrieb) Ausgaben: ARot, AGelb, AGruen (ARot = 1: Rot-Lampe an; ARot = 0: Rot-Lampe aus) Zustände: Z2Z1Z0 ZRot: 100 ZRotGelb: 110 ZGelb: 010 ZGruen: 001 ZAus: 000

Übersetzung in ein Schaltwerk Schritt 2: Schaltwerkentwurf Zustandsvariablen nachher Zustandsvariablen vorher Z2´ Z2 ARot Z1´ Z1 Delta- Schaltnetz Lambda- Schaltnetz Z0´ Z0 AGelb E AGruen Eingaben Ausgaben

Übersetzung in ein Schaltwerk Schritt 3: Entwicklung von Schalttermen für die Überführungsfunktion ( Delta-Schaltnetz) Z2 1 1 1 1 0 0 0 0 0 0 Z1 0 0 1 1 0 0 1 1 0 0 Z0 0 0 0 0 1 1 0 0 0 0 E 0 1 0 1 0 1 0 1 0 1 Z2‘ 0 1 0 0 0 0 0 1 0 0 Z1‘ 0 1 0 0 0 1 0 0 1 1 Z0‘ 0 0 0 1 0 0 0 0 0 0 Z2‘ = Z2 Z1 Z0 E + Z2 Z1 Z0 E Z1‘ = Z2 Z1 Z0 E + Z2 Z1 Z0 E + Z2 Z1 Z0 Z0‘ = Z2 Z1 Z0 E

Übersetzung in ein Schaltwerk Schritt 4: Entwicklung von Schalttermen für die Ausgabefunktion ( Lambda-Schaltnetz) Z2 1 1 0 0 0 Z1 0 1 0 1 0 Z0 0 0 1 0 0 Aro 1 1 0 0 0 Age 0 1 0 1 0 Agr 0 0 1 0 0 Arot = Z2 Agelb = Z1 Agruen = Z0

Ampel-Schaltung

Automatensimulator hades.models.fsm.FsmWrapper

Automatensimulator

Übung Testen Sie das entwickelte Ampelsystem mit dem Automaten-simulator von Hades.

Teil 4 Miniprojekt: Zähler

Miniprojekt „Zähler“ Ziel ist es, eine Schaltung zur Realisierung eines Zählers zu entwickeln, der folgende Eigenschaften hat: /1/ Der Zähler kann mit Hilfe eines Steuersignals auf Hoch- und Runterzählen eingestellt werden. /2/ Beim Hochzählen werden die Zahlen 0  1  2 ... 9  0 durchlaufen und auf einem Display angezeigt. /3/ Beim Runterzählen werden die Zahlen in der umgekehrten Reihenfolge durchlaufen: 0  9  8 ... 1  0. /4/ Mit Hilfe eines weiteren Steuersignals kann der Zähler bei jedem Stand auf Null gesetzt werden.

Übung Führen Sie zunächst eine Ein-/Ausgabe-Modellierung und eine Zustandsmodellierung durch.

Übung Testen Sie das entwickelte Modell mit Hilfe des Automaten-simulators von Hades.

Übung Entwickeln Sie ein Schaltwerk für einen vereinfachten Zähler (der nur bis 3 zählt). Orientieren Sie sich an den eingeführten Schritten: Schritt 1: Binäre Kodierung Schritt 2: Schaltwerkentwurf Schritt 3: Entwicklung von Schalttermen für die Überführungsfktn Schritt 4: Entwicklung von Schalttermen für die Ausgabefunktion

Lösungsvorschlag Z1 R !R&W !R&W R S1 !R&!W|R !R&!W Z0 Z2 R !R&!W !R&!W S1 = 0 S0 = 1 !R&W !R&W R S1 !R&!W|R !R&!W Z0 Z2 R S1 = 0 S0 = 0 S1 = 1 S0 = 0 !R&!W !R&!W W S0 !R&W|R !R&W Z3 S1 = 1 S0 = 1

Lösungsvorschlag

Lösungsvorschlag Schritt 1: Binäre Kodierung Eingaben: R, W (R = 1: Null setzen; R = 1: Zählen) (W = 1: Hochzählen; W = 0: Runterzählen) Ausgaben: S1, S0 (S1 S0 Darstellung als Dualzahl) Zustände: U1U0 Z0: 0 0 Z1: 0 1 Z2: 1 0 Z3: 1 1

Lösungsvorschlag Schritt 2: Schaltwerkentwurf Zustandsvariablen nachher Zustandsvariablen vorher S1 U1´ U1 Delta- Schaltnetz Lambda- Schaltnetz U0´ U0 R S0 W Eingaben Ausgaben

Lösungsvorschlag Schritt 3: Entwicklung von Schalttermen für die Überführungsfunktion ( Delta-Schaltnetz) U1 * 0 0 1 1 0 0 1 1 U0 * 0 1 0 1 0 1 0 1 R 1 0 0 0 0 0 0 0 0 W * 1 1 1 1 0 0 0 0 U1‘ 0 0 1 1 0 1 0 0 1 U0‘ 0 1 0 1 0 1 0 1 0 U1‘ = U1 U0 R W + U1 U0 R W + U1 U0 R W + U1 U0 R W U0‘ = U1 U0 R W + U1 U0 R W + U1 U0 R W + U1 U0 R W

Lösungsvorschlag Schritt 4: Entwicklung von Schalttermen für die Ausgabefunktion ( Lambda-Schaltnetz) U1 0 0 1 1 U0 0 1 0 1 R * * * * W * * * * S1 0 0 1 1 S0 0 1 0 1 S1 = U1 S0 = U0

Lösungsvorschlag

Literaturhinweise Gasper, Leiß, Spengler, Stimm: Technische und theoretische Informatik. Bayerischer Schulbuch-Verlag 1992. Eckhart Modrow: Automaten Schaltwerke Sprachen. Dümmler Verlag 1988. Heinz-Dietrich Wuttke, Karsten Henke: Schaltsysteme. Pearson Studium 2003. ...