X. Übungsblatt – Aufgabe X In dieser Aufgabe soll ein synchron getakteter Steuerungsautomat für den in Abbildung 1 dargestellten Prozessor-Chip mit geringem.

Slides:



Advertisements
Ähnliche Präsentationen
Algorithmentheorie 08 – Dynamische Programmierung (1)
Advertisements

Vorlesung Informatik 2 Algorithmen und Datenstrukturen (26-Graphenalgorithmen: Wiederholung und Übung) Prof. Th. Ottmann.
Sortieren I - Bubblesort -
Peter Marwedel Informatik 12 TU Dortmund
LS 2 / Informatik Datenstrukturen, Algorithmen und Programmierung 2 (DAP2)
Modellbasierte Software-Entwicklung eingebetteter Systeme
Strukturierter Text.
8. Formale Sprachen und Grammatiken
Einfügen einer Scanchain in ein Chipdesign mittels DFT- Compiler.
Übung 6.1Turing-Maschine 1.Machen Sie sich mit der Funktionsweise des Busy Beaver-Programms vertraut Vollziehen sie die 11 Schritte der ersten Turing-Tabelle.
Informatik 1 Übung 2.
Einführung in die Programmierung
Die Zählschleife int s = 0 for (int k=1; k
Informatik 1 Übung 4.
Vom Umgang mit Daten. public void myProgram() { int[] saeulenWerte = new int[world.getSizeX()]; for (int i = 0; i < saeulenWerte.length; i++) { saeulenWerte[i]
3.3 Speicher Latches SR-Latch 1-bit Speicher S Q Q R Q Q
1 SR-Latch 3.3 Speicher Latches © Béat Hirsbrunner, University of Fribourg, Switzerland, 31. Oktober 2007 S Q Q R Q Q 1-bit Speicher.
TEST - RECAP PRESENT PERFECT TENSE
VHDL 3: Sequential Statements (Process)
Synthetisierbares VHDL
VHDL 7: Automaten 1.
VHDL 8: Automaten 2.
VHDL 4: Getaktete Logik (D-FF, Zähler, Automaten)
Inhalt Einen Zähler generisch aufbauen CPLD Synthese Timing Analyse
2. Zustandsorientiertes Modellieren 2.1 Einfachauswahl
Informatik I for D-MAVT
Purga - Scriptengine Ein Einblick.
Was mich ursprünglich von Perl überzeugt hat. Gegeben ist eine Textdatei, deren Größe unbekannt ist. Sie ist jedoch so klein, daß sie komplett in den Speicher.
MODULA-2.
Agenda für heute, 7. April, 2005 Bedingte ProgrammausführungBedingte Programmausführung Algorithmische Grundlagen Vergleichsoperatoren, Wahrheitswerte.
Informatik Grundlagen, Seminar 8 WS04 1 Informatik Grundlagen, WS04, Seminar 8 Informatik.
Informatik Grundlagen, WS04, Seminar 7
1 Intern | ST-IN/PRM-EU | | © Robert Bosch GmbH Alle Rechte vorbehalten, auch bzgl. jeder Verfügung, Verwertung, Reproduktion, Bearbeitung,
Technische Informatik II Übung 7: Automaten Implementierungsaspekte
Technische Informatik II (INF 1211) Aufgabenteil (Mit Unterlagen)
Vorkurs Programmierungstechnik Einführung in Pascal Michael Gellner Lehrstuhl für Softwaretechnik am Institut für praktische Informatik der Universität.
Modellbasierte Software-Entwicklung eingebetteter Systeme
Rechnerstrukturen 3b. Endliche Automaten.
FPGA Praktikum WS2000/ Woche: VHDL Tips Ein Schläger für das Spiel.
Lust auf Lesen Treffpunkt Deutsch Sixth Edition. Relative Pronoun object of a preposition Recall from chapter 9 that relative clauses describe people,
Technische Informatik II (INF 1211) Aufgabenteil (Mit Unterlagen)
Java-Kurs - 4. Übung Hausaufgabe Weitere Kontrollstrukturen
Java-Kurs - 4. Übung Hausaufgabe Weitere Kontrollstrukturen
Wann ist eine Funktion (über den natürlichen Zahlen) berechenbar?
Java-Kurs - 4. Übung Hausaufgabe Weitere Kontrollstrukturen
Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von Prof. Dr. rer. nat. U. Brinkschulte Prof. Dr.-Ing. L. Hedrich (basierend auf Materialien.
Fallunterscheidung und Iteration Programmierung I Prof. Dr. Michael Löwe.
X. Übungsblatt – Aufgabe X Die Zahlendarstellung im IEEE Standard 754 (single precision): Allgemein gilt: Z = (-1) V * (1 + M) * 2 (E - BIAS) a)Welche.
Übung zu Grundlagen der Technischen Informatik
X. Übungsblatt – Aufgabe X Bestimmen Sie jeweils die disjunktive Normalform (DNF) der Folgenden Funktionen: a)f 1 = b)f 2 = c)Bestimmen Sie die primären.
X. Übungsblatt – Aufgabe X a)Beschreiben Sie den allgemeinen Aufbau einer Zahl N in einem polyadischen Zahlensystem. Übung zu Grundlagen der Technischen.
X. Übungsblatt – Aufgabe X In dieser Aufgabe sollen die Eigenschaften ausgesuchter Flipflopschaltungen untersucht werden. Die Verzögerungszeit eines jeden.
X. Übungsblatt – Aufgabe X a)Erstellen Sie den Huffman-Codierungsbaum für die folgende Zeichenkette: ABRAKADABRASIMSALABIM Vorgehensweise: 1.Tabelle mit.
X. Übungsblatt – Aufgabe X In Aufgabe 1a) wurde ein sog. 2:1-Multiplexer entworfen, den man vereinfacht mit nebenstehenden Blockschaltbild darstellen kann.
X. Übungsblatt – Aufgabe X Es soll ein analoges Signal in ein 4-wertiges Digitalsignal umgewandelt werden. Der Eingangsspannungsbereich des Analogsignals.
X. Übungsblatt – Aufgabe X a)Entwerfen Sie eine digitale Schaltung, die bei einer logischen 0 des Steuereinganges S den logischen Wert des Eingangs x 0.
X. Übungsblatt – Aufgabe X Das Bild zeigt ein Diagramm, dass die Nachbarschafsbeziehungen für einen Code mit 3 Binärstellen darstellt. a)Welche Hamming-Distanz.
X. Übungsblatt – Aufgabe X Mit Hilfe von NAND-Gattern, kann jede beliebige Boolesche Funktion realisiert werden. (Gleiches gilt für die Verwendung von.
X. Übungsblatt – Aufgabe X Die gegebene Schaltfunktion y = f(d, c, b, a) soll mit Hilfe des Entwicklungssatzes entwickelt werden: a)Entwickeln Sie die.
X. Übungsblatt – Aufgabe X Für die Bevölkerung Deutschlands wird für das Jahr 2050 folgende Altersstruktur vorausgesagt: a)Die Bevölkerung soll in 2 Gruppen.
X. Übungsblatt – Aufgabe X Es soll eine synchrone Schaltung mit den Zuständen A, B, C und D entworfen werden. Sie soll abhängig von den Eingangssignalen.
Übung zu Grundlagen der Technischen Informatik
X. Übungsblatt – Aufgabe X Mit Hilfe der dargestellten Drehscheibe soll ein Drehwinkel erfasst werden. Die Scheibe ist in 16 Sektoren mit jeweils 4 Feldern.
Übung zu Grundlagen der Technischen Informatik
Dr. Wolfram Amme, Semantik funktionaler Programme, Informatik II, FSU Jena, SS Semantik funktionaler Programme.
Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment
Vom Transistor zum HW-Automaten
4. Modellieren und Diagrammarten
GRUNDLAGEN WISSENSCHAFTLICHEN ARBEITENS MODULA-2 SONAY SUBAYAZ
Name: Klasse: Datum: Übung: selbst korrigiert Korrektur Trainer 1 2 3
 Präsentation transkript:

X. Übungsblatt – Aufgabe X In dieser Aufgabe soll ein synchron getakteter Steuerungsautomat für den in Abbildung 1 dargestellten Prozessor-Chip mit geringem Energieverbrauch in VHDL beschrieben werden: Wenn das Gerät eingeschaltet wird, befindet sich der Steuerautomat im Anfangszustand idle. Ansonsten funktioniert die Steuerung gemäß folgendem Zustandsdiagramm: Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X Ansonsten funktioniert die Steuerung gemäß folgendem Zustandsdiagramm: Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X a)Geben Sie die Schnittstellenbeschreibung des abgebildeten Automaten in Form einer Entity in VHDL an. Überlegen Sie zuerst, ob Signale Ein- oder Ausgabesignale, bzw. intern oder extern sind. Hinweis: Alle Ein- bzw. Ausgangssignale nehmen nur die Binärwerte 0 oder 1 an. b)Geben Sie eine Implementierung des Automaten (siehe Abbildung) in Form einer VHDL Architecture-Beschreibung an. (Die Verwendung von IEEE-Libraries kann weggelassen werden.) Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X a)Geben Sie die Schnittstellenbeschreibung des abgebildeten Automaten in Form einer Entity in VHDL an. Überlegen Sie zuerst, ob Signale Ein- oder Ausgabesignale, bzw. intern oder extern sind. Hinweis: Alle Ein- bzw. Ausgangssignale nehmen nur die Binärwerte 0 oder 1 an. Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X entity PCU is port ( o_isolate:outstd_logic; o_connect:outstd_logic; i_new_job:instd_logic; i_suspend:instd_logic; i_no_job:instd_logic; i_wake_up:instd_logic; --Synchroner Automat => clk; i_clk:instd_logic; i_rst:instd_logic); end entity PCU; Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X b)Geben Sie eine Implementierung des Automaten (siehe Abbildung) in Form einer VHDL Architecture-Beschreibung an. (Die Verwendung von IEEE-Libraries kann weggelassen werden.) Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X architecture PCU_RTL of PCU is -- internal signal for output ports signal s_o_isolate:std_logic; signal s_o_connect:std_logic; -- Zustaende: type state_type is (IDLE, RUNNING, SAVE_STATE, POWER_DOWN, SLEEPING, WAKE_UP, POWER_UP, RESTORE_STATE); signal s_current_state:state_type; Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X begin FSM: process (i_clk, i_rst) variable v_s_state_next:state_type; begin if (i_rst = ‘1‘) then s_current_state <= IDLE; v_s_state_next := IDLE; s_o_isolate <= ‘0‘; s_o_connect <= ‘1‘; elsif (rising_edge(i_clk)) then … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X elsif (rising_edge(i_clk)) then case (s_current_state) is when IDLE => … when RUNNING => … when SAVE_STATE => … when POWER_DOWN => … when SLEEPING => … when WAKE_UP => … when POWER_UP => … when RESTORE_STATE => … when others => … end case; … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => if (i_new_job = ‘1‘) then v_s_state_next := RUNNING; elsif (i_no_job = ‘1‘) then v_s_state_next := POWER_DOWN; else v_s_state_next := IDLE; end if; when RUNNING => … when SAVE_STATE => … when POWER_DOWN => … when SLEEPING => … when WAKE_UP => … … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => … when RUNNING => if (i_new_job = ‘1‘) then v_s_state_next := RUNNING; elsif (i_suspend = ‘1‘) then v_s_state_next := SAVE_STATE; else v_s_state_next := RUNNING; end if; when SAVE_STATE => … when POWER_DOWN => … when SLEEPING => … when WAKE_UP => … … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => … when RUNNING => when SAVE_STATE => v_s_state_next := POWER_DOWN; when POWER_DOWN => s_o_isolate <= ‘1‘; s_o_connect <= ‘0‘; v_s_state_next := SLEEPING; when SLEEPING => … when WAKE_UP => … when POWER_UP => … when RESTORE_STATE => … when others => … … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => … when RUNNING => when SAVE_STATE => when POWER_DOWN => when SLEEPING => if (i_wake_up = ‘1‘ OR i_new_job = ‘1‘) then v_s_state_next := WAKE_UP; else v_s_state_next := SLEEPING; end if; when WAKE_UP => … when POWER_UP => … when RESTORE_STATE => … … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => … when RUNNING => when SAVE_STATE => when POWER_DOWN => when SLEEPING => when WAKE_UP => v_s_state_next := POWER_UP; when POWER_UP => s_o_connect <= ‘1‘; v_s_state_next := RESTORE_STATE; when RESTORE_STATE => v_s_state_next := IDLE; when others => … … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X when IDLE => … when RUNNING => when SAVE_STATE => when POWER_DOWN => when SLEEPING => when WAKE_UP => … when POWER_UP => … when RESTORE_STATE => … when others => s_o_isolate <= ‘0‘; s_o_connect <= ‘1‘; v_s_state_next := IDLE; end case; … Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X elsif (rising_edge(i_clk)) then case (s_current_state) is … end case; -- Setze den naechsten Zustand: s_current_state <= v_s_state_next; end if; end process FSM; -- assign internal signals to ports o_isolate <= s_o_isolate; o_connect <= s_o_connect; end architecture PCU_RTL; Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X c)Welche zusätzliche spezielle VHDL-Beschreibung wird benötigt, um den Automaten mit einem Simulationsprogramm zu simulieren? d)Welche Signale müssen in der speziellen Beschreibung aus Teilaufgabe c) unbedingt gesetzt bzw. initialisiert werden? Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X c)Welche zusätzliche spezielle VHDL-Beschreibung wird benötigt, um den Automaten mit einem Simulationsprogramm zu simulieren? Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X c)Welche zusätzliche spezielle VHDL-Beschreibung wird benötigt, um den Automaten mit einem Simulationsprogramm zu simulieren? →Testbench-Datei Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X c)Welche zusätzliche spezielle VHDL-Beschreibung wird benötigt, um den Automaten mit einem Simulationsprogramm zu simulieren? →Testbench-Datei d)Welche Signale müssen in der speziellen Beschreibung aus Teilaufgabe c) unbedingt gesetzt bzw. initialisiert werden? Übung zu Grundlagen der Technischen Informatik

X. Übungsblatt – Aufgabe X c)Welche zusätzliche spezielle VHDL-Beschreibung wird benötigt, um den Automaten mit einem Simulationsprogramm zu simulieren? →Testbench-Datei d)Welche Signale müssen in der speziellen Beschreibung aus Teilaufgabe c) unbedingt gesetzt bzw. initialisiert werden? →Clock und Reset Übung zu Grundlagen der Technischen Informatik